7月 152013
 

おばんでございます。
そりゃ出来ますよね。pll込み回路のシミュレーション。

ModelSimのこと

AlteraのFPGA開発ツール「QuartusII」は、言わずもがな回路のデザイン設計から実装までの一通りの作業を行う統合開発環境。
そしてこのツールには、論理シミュレーションを行うためのツール「ModelSim Altera-Edition」が付属しています。

論理シミュレーションとは、簡単に言えばシステムクロックの遷移に沿って、回路内の全ての信号の挙動を時系列上で確認すること。
これが中々に強力で、回路構成のバグなんかを見つけるのに非常に役立ちます。

ところが、ここで問題一つ。

ALTPLLのIPコアを組み込んだ回路のシミュレーションを実行すると、エラー吐いて失敗する現象アリ

どういうことだってばよ・・・

どういうことだってばよ・・・

altpll関連のファイルが見つからない、って言ってますね。
これは恐らくIPコアそのもののファイルだから、QuartusII内部のファイル群に埋もれているのでしょう。
というか、最初から内包されているファイルの参照すらままならないって・・・。
AlteraさんちのQちゃんは本当にお茶目さんです。

今まで色んな回路で実験してみましたが、結局どれも同じエラーを吐いて止まりました。
その為、今まではとりあえずpll周りをコメントアウトしてからシミュレーションしていました。(現実逃避)
特に複雑なPLLを使用していなかったので、特に緊急の問題にもならなかったので・・・。

今回ふと気が向いたので、Alteraフォーラム辺りで解決策を探してみることにしました。
最近VGAやらRAM関連で探索作業に慣れてきたこともありますし、ひょっとすると見つかるんじゃないかなー、と。

しかし、まさか2分で見つかろうとは

僅かな手間を惜しむものではないのですね。反省しましょう。
しかし、本当フォーラムの方々は頼りになりますね。製造元よりも詳しいんじゃないかしら。

 

ライブラリを1つ参照するだけで解決

結論を言うと、ModelSimの使い方をきちんと学習していた方なら、自然に行っているであろう作業でした。

まず、普通にModelSimを起動します。
そしていつも通りにシミュレーションを実行します。
すると、シミュレーションを実行するファイルを選択するウインドウが出現します。ここまでいつも通り。

ここで、ウインドウ上側のタブに注目。
デフォルトでアクティブになっている「Design」タブの3つ右に、「Libraries」タブがあるので、これを選択。
すると、引用するライブラリを選択するウインドウに切り替わります。

ここで、“altera_mf_ver”というライブラリを指定すれば完了です。
そのまま実行してしまえば・・・

しっかり通ってます

しっかり通ってます

はい、全く問題なくシミュレーション開始です。
もっと早く気づくべきだった。

補足

この方法だと、毎回シミュレーションの度にライブラリを指定する必要があって、少し手間です。
ModelSimのプロジェクトを作成して、そこに設定ファイルを作成してやれば、あとはこれを選択するだけで済みます。
以下が設定ファイルの設定例。

シミュレーションの対象デザインの設定も保存できる

シミュレーションの対象デザインの設定も保存できる


よく分かってないので、念の為上下共に指定

よく分かってないので、念の為上下共に指定

ちなみに、QuartusIIから直接ModelSimを呼び出した場合、作成したプロジェクトは自動では読み込まれません。
なので、いちいち手動でプロジェクトを開くのと、ライブラリを指定するのと、どちらが手間かというと微妙な所です。
誰か良い方法をご存じないでしょうか。

 Posted by at 20:32

 Leave a Reply

(required)

(required)

You may use these HTML tags and attributes: <a href="" title=""> <abbr title=""> <acronym title=""> <b> <blockquote cite=""> <cite> <code> <del datetime=""> <em> <i> <q cite=""> <strike> <strong>

  プロフィール  PR:無料HP  合宿免許 二輪  売掛金 高価買取  アニメクリエイター 専門学校  WPサスペンション  中古ホイール 愛知  タイヤ VOXY 激安  ブライダル 専門学校  M-TEC  民泊 デメリット  物流 東京  TMJ投資顧問 口コミ  バイクパーツ  名簿販売